Krishnendu Chakrabarty

Short CV

Krishnendu Chakrabarty received the B. Tech. degree from the Indian Institute of Technology, Kharagpur, in 1990, and the M.S.E. and Ph.D. degrees from the University of Michigan, Ann Arbor, in 1992 and 1995, respectively. He is now the John Cocke Distinguished Professor of Engineering in the Department of Electrical and Computer Engineering and Professor of Computer Science at Duke University. He is also chair of the Department of Electrical and Computer Engineering. Prof. Chakrabarty is a recipient of the National Science Foundation CAREER award, the Office of Naval Research Young Investigator award, the Humboldt Research Award from the Alexander von Humboldt Foundation, Germany, the IEEE Transactions on CAD Donald O. Pederson Best Paper Award (2015), the ACM Transactions on Design Automation of Electronic Systems Best Paper Award (2017), and over a dozen best paper awards at major conferences. He is also a recipient of the IEEE Computer Society Technical Achievement Award (2015), the IEEE Circuits and Systems Society Charles A. Desoer Technical Achievement Award (2017), and the Distinguished Alumnus Award from the Indian Institute of Technology, Kharagpur (2014). He is a Research Ambassador of the University of Bremen in Germany. He is a Fellow of ACM, a Fellow of IEEE, and a Golden Core Member of the IEEE Computer Society. Prof. Chakrabarty served as the Editor-in-Chief of IEEE Design & Test of Computers during 2010-2012 and ACM Journal on Emerging Technologies in Computing Systems during 2010-2015. Currently he serves as the Editor-in-Chief of IEEE Transactions on VLSI Systems. He is also an Associate Editor of IEEE Transactions on Biomedical Circuits and Systems, IEEE Transactions on Multiscale Computing Systems, and ACM Transactions on Design Automation of Electronic Systems.


Selected Awards

  • 2018, Fellow of the American Association for the Advancement of Science (AAAS)
  • 2018, Semiconductor Research Corporation Technical Excellence Award for "Pioneering Solutions to the Test Challenges for 3D Integrated Circuits"
  • 2017, IEEE Circuits and Systems Society Charles A. Desoer Technical Achievement Award
  • 2017, Best paper award at DATE and at TODAES (in addition to over a dozen best paper awards at major conferences of the last years)
  • 2015, IEEE Computer Society Technical Achievement Award
  • 2014, Distinguished Alumnus Award from the Indian Institute of Technology, Kharagpur
  • 2013, Humboldt Research Award
  • 2001, Office of Naval Research Young Investigator Award
  • 1999, National Science Foundation Early Faculty (CAREER) Award

Research Interests

• testing and design-for-testability of integrated circuits and systems
• digital microfluidics, biochips, and cyberphysical systems
• data analytics for fault diagnosis, failure prediction, anomaly detection, and hardware security
• smart manufacturing


Selected Publications

  • Firouzi, Farshad; Ye, Fangming; Chakrabarty, Krishnendu; Tahoori, Mehdi B.: Aging- and Variation-Aware Delay Monitoring Using Representative Critical Path Selection. ACM Transactions on Design Automation of Electronic Systems 20 (3), 2015, 1-23.
  • Ibrahim, Mohamed; Chakrabarty, Krishnendu: Efficient Error Recovery in Cyberphysical Digital-Microfluidic Biochips. IEEE Transactions on Multi-Scale Computing Systems 1 (1), 2015, 46-58.
  • Agrawal, Mukesh; Chakrabarty, Krishnendu; Eklow, Bill: A distributed, reconfigurable, and reusable bist infrastructure for 3D-stacked ICs. 2014 International Test Conference, IEEE, 2014.

Publications as TUM-IAS-Fellow

2019

  • Chen, Zhisheng; Huang, Xing; Guo, Wenzhong; Li, Bing; Ho, Tsung-Yi; Schlichtmann, Ulf: Physical Synthesis of Flow-Based Microfluidic Biochips Considering Distributed Channel Storage. 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, 2019 mehr… BibTeX Volltext ( DOI )
  • Huang, Xing; Ho, Tsung-Yi; Guo, Wenzhong; Li, Bing; Schlichtmann, Ulf: MiniControl. Proceedings of the 56th Annual Design Automation Conference 2019 on - DAC '19, ACM Press, 2019 mehr… BibTeX Volltext ( DOI )
  • Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Synthesis of a Cyberphysical Hybrid Microfluidic Platform for Single-Cell Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 38 (7), 2019, 1237-1250 mehr… BibTeX Volltext ( DOI )
  • Ibrahim, Mohamed; Sridhar, Aditya; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Synthesis of Reconfigurable Flow-Based Biochips for Scalable Single-Cell Screening. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 38 (12), 2019, 2255-2270 mehr… BibTeX Volltext ( DOI )
  • Lin, Yu-Huei; Ho, Tsung-Yi; Li, Bing; Schlichtmann, Ulf: Block-Flushing: A Block-based Washing Algorithm for Programmable Microfluidic Devices. 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, 2019 mehr… BibTeX Volltext ( DOI )
  • Liu, Chunfeng; Li, Bing; Bhattacharya, Bhargab B.; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Schlichtmann, Ulf: Test Generation for Flow-Based Microfluidic Biochips with General Architectures. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 1-1 mehr… BibTeX Volltext ( DOI )
  • Wang, Qin; Ji, Weiqing; Li, Zeyan; Cheong, Haena; Kwon, Oh-Sun; Yao, Hailong; Ho, Tsung-Yi; Shin, Kwanwoo; Li, Bing; Schlichtmann, Ulf; Cai, Yici: Integrated Control-Fluidic CoDesign Methodology for Paper-Based Digital Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 1-1 mehr… BibTeX Volltext ( DOI )
  • Zhu, Ying; Huang, Xing; Li, Bing; Ho, Tsung-Yi; Wang, Qin; Yao, Hailong; Wille, Robert; Schlichtmann, Ulf: MultiControl: Advanced Control Logic Synthesis for Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 1-1 mehr… BibTeX Volltext ( DOI )

2018

  • Liu, Chunfeng; Li, Bing; Bhattacharya, Bhargab B.; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Schlichtmann, Ulf: Test generation for microfluidic fully programmable valve arrays (FPVAs) with heuristic acceleration. 2018 International Conference on IC Design & Technology (ICICDT), IEEE, 2018 mehr… BibTeX Volltext ( DOI )
  • Liu, Chunfeng; Li, Bing; Ho, Tsung-Yi; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Design-for-Testability for Continuous-Flow Microfluidic Biochips. 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC), IEEE, 2018 mehr… BibTeX Volltext ( DOI )
  • Moradi, Yasamin; Chakrabarty, Krishnendu; Schlichtmann, Ulf: An efficient fault-tolerant valve-based microfluidic routing fabric for single-cell analysis. 2018 IEEE 23rd European Test Symposium (ETS), IEEE, 2018 mehr… BibTeX Volltext ( DOI )
  • Moradi, Yasamin; Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: An Efficient Fault-Tolerant Valve-Based Microfluidic Routing Fabric for Droplet Barcoding in Single-Cell Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, 1-1 mehr… BibTeX Volltext ( DOI )
  • Moradi, Yasamin; Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Fault-tolerant valve-based microfluidic routing fabric for droplet barcoding in single-cell analysis. 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), IEEE, 2018 mehr… BibTeX Volltext ( DOI )

2017

  • Das, Sourav; Doppa, Janardhan Rao; Pande, Partha Pratim; Chakrabarty, Krishnendu: Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3-D Small-World Network-on-Chip. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36 (5), 2017, 719-732 mehr… BibTeX Volltext ( DOI )
  • Hu, Kai; Dinh, Trung Anh; Ho, Tsung-Yi; Chakrabarty, Krishnendu: Control-Layer Routing and Control-Pin Minimization for Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36 (1), 2017, 55-68 mehr… BibTeX Volltext ( DOI )
  • Ibrahim, Mohamed; Chakrabarty, Krishnendu; Schlichtmann, Ulf: CoSyn: Efficient single-cell analysis using a hybrid microfluidic platform. Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017, IEEE, 2017 mehr… BibTeX Volltext ( DOI )
  • Ibrahim, Mohamed; Chakrabarty, Krishnendu; Scott, Kristin: Synthesis of Cyberphysical Digital-Microfluidic Biochips for Real-Time Quantitative Analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 36 (5), 2017, 733-746 mehr… BibTeX Volltext ( DOI )
  • Ibrahim, Mohamed; Sridhar, Aditya; Chakrabarty, Krishnendu; Schlichtmann, Ulf: Sortex: Efficient timing-driven synthesis of reconfigurable flow-based biochips for scalable single-cell screening. 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), IEEE, 2017 mehr… BibTeX Volltext ( DOI )
  • Koneru, Abhishek; Kannan, Sukeshwar; Chakrabarty, Krishnendu: Impact of Electrostatic Coupling and Wafer-Bonding Defects on Delay Testing of Monolithic 3D Integrated Circuits. ACM Journal on Emerging Technologies in Computing Systems 13 (4), 2017, 1-23 mehr… BibTeX Volltext ( DOI )
  • Li, Zipeng; Lai, Kelvin Yi-Tse; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Lee, Chen-Yi: Droplet Size-Aware and Error-Correcting Sample Preparation Using Micro-Electrode-Dot-Array Digital Microfluidic Biochips. IEEE Transactions on Biomedical Circuits and Systems 11 (6), 2017, 1380-1391 mehr… BibTeX Volltext ( DOI )
  • Li, Zipeng; Lai, Kelvin Yi-Tse; Yu, Po-Hsien; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Lee, Chen-Yi: Droplet Size-Aware High-Level Synthesis for Micro-Electrode-Dot-Array Digital Microfluidic Biochips. IEEE Transactions on Biomedical Circuits and Systems 11 (3), 2017, 612-626 mehr… BibTeX Volltext ( DOI )

2016

  • Ali, Sk Subidh; Ibrahim, Mohamed; Sinanoglu, Ozgur; Chakrabarty, Krishnendu; Karri, Ramesh: Security Assessment of Cyberphysical Digital Microfluidic Biochips. IEEE/ACM Transactions on Computational Biology and Bioinformatics 13 (3), 2016, 445-458 mehr… BibTeX Volltext ( DOI )
  • Bhattacharjee, Sukanta; Chatterjee, Sharbatanu; Banerjee, Ansuman; Ho, Tsung-Yi; Chakrabarty, Krishnendu; Bhattacharya, Bhargab: Adaptation of Biochemical Protocols to Handle Technology-Change for Digital Microfluidics. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2016, 1-1 mehr… BibTeX Volltext ( DOI )
  • Hu, Kai; Ho, Tsung-Yi; Chakrabarty, Krishnendu: Wash Optimization and Analysis for Cross-Contamination Removal Under Physical Constraints in Flow-Based Microfluidic Biochips. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35 (4), 2016, 559-572 mehr… BibTeX Volltext ( DOI )
  • Ibrahim, Mohamed; Chakrabarty, Krishnendu; Zeng, Jun: BioCyBig: A Cyberphysical System for Integrative Microfluidics-Driven Analysis of Genomic Association Studies. IEEE Transactions on Big Data, 2016, 1-1 mehr… BibTeX Volltext ( DOI )
  • Li, Tianjian; Xie, Feng; Liang, Xiaoyao; Xu, Qiang; Chakrabarty, Krishnendu; Jing, Naifeng; Jiang, Li: A Novel Test Method for Metallic CNTs in CNFET-Based SRAMs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35 (7), 2016, 1192-1205 mehr… BibTeX Volltext ( DOI )
  • Li, Zipeng; Ho, Tsung-Yi; Chakrabarty, Krishnendu: Optimization of 3D Digital Microfluidic Biochips for the Multiplexed Polymerase Chain Reaction. ACM Transactions on Design Automation of Electronic Systems 21 (2), 2016, 1-27 mehr… BibTeX Volltext ( DOI )
  • Li, Zipeng; Lai, Kelvin Yi-Tse; Yu, Po-Hsien; Chakrabarty, Krishnendu; Ho, Tsung-Yi; Lee, Chen-Yi: Built-in self-test for micro-electrode-dot-array digital microfluidic biochips. 2016 IEEE International Test Conference (ITC), IEEE, 2016 mehr… BibTeX Volltext ( DOI )
  • Li, Zipeng; Lai, Kelvin Yi-Tse; Yu, Po-Hsien; Chakrabarty, Krishnendu; Pajic, Miroslav; Ho, Tsung-Yi; Lee, Chen-Yi: Error recovery in a micro-electrode-dot-array digital microfluidic biochip? Proceedings of the 35th International Conference on Computer-Aided Design - ICCAD '16, ACM Press, 2016 mehr… BibTeX Volltext ( DOI )
  • Li, Zipeng; Lai, Kelvin Yi-Tse; Yu, Po-Hsien; Ho, Tsung-Yi; Chakrabarty, Krishnendu; Lee, Chen-Yi: High-level synthesis for micro-electrode-dot-array digital microfluidic biochips. Proceedings of the 53rd Annual Design Automation Conference on - DAC '16, ACM Press, 2016 mehr… BibTeX Volltext ( DOI )