Sani Nassif

Short CV

Dr. Nassif has 28 years of research and development experience at Bell Labs and IBM Research where he led teams working on various aspects of integrated circuit modeling, simulation, statistical analysis, and optimization. He is a widely published and world-renowned expert on simulation, optimization, and statistics. Dr. Nassif has collaborated with key medical research institutions, including: Massachusetts General Hospital, Mayo Clinic, M.D. Anderson Cancer Research Center, and St. Jude Children’s Research Hospital, among others. He  was a member of the IBM Academy, an IBM Master Inventor with 75 patents, and is an IEEE Fellow. Dr. Nassif received his M.S. and Ph.D. in Electrical Engineering from Carnegie Mellon University.


Research Interest

Sani Nassif is interested in the cross-disciplinary application of integrated circuit technology to areas like Medicine.


Selected Publications

  • Nassif, S.: Delay variability: sources, impacts and trends, in: 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No. 00CH37056), p. 368-369, IEEE, 2000.
    DOI: 10.1109/ISSCC.2000.839819
     
  • S. Nassif: Modeling and analysis of manufacturing variations, in: Proceedings of the IEEE 2001 Custom Integrated Circuits Conference (Cat. No. 01CH37169), p. 223-228, IEEE, 2001.
    DOI: 10.1109/CICC.2001.929760
     
  • Su, Haihua and Liu, Frank and Devgan, Anirudh and Acar, Emrah and Nassif, Sani: Full chip leakage estimation considering power supply and temperature variations, in: Proceedings of the 2003 international symposium on Low power electronics and design, p. 78-83, 2003.
     
  • Bernstein, Kerry and Frank, David J and Gattiker, Anne E and Haensch, Wilfried and Ji, Brian L and Nassif, Sani R and Nowak, Edward J and Pearson, Dale J and Rohrer, Norman J.: High-performance CMOS variability in the 65-nm regime and beyond, in: IBM journal of research and development, Vol.: 50, Nr. 4.5, p. 433-449, 2006.
    https://doi.org/10.1145/871506.871529
     
  • Kanj, Rouwaida and Joshi, Rajiv and Nassif, Sani: Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events, in: 2006 43rd ACM/IEEE Design Automation Conference, p. 69-72, IEEE, 2006.
    https://doi.org/10.1145/1146909.1146930
     
  • Henkel, Jörg and Bauer, Lars and Dutt, Nikil and Gupta, Puneet and Nassif, Sani and Shafique, Muhammad and Tahoori, Mehdi and Wehn, Norbert: Reliable on-chip systems in the nano-era: Lessons learnt and future trends, in: 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC), p. 1-10, IEEE, 2013.
    https://doi.org/10.1145/2463209.2488857

Publications as TUM-IAS-Fellow